Logo
Unionpedia
Komunikacja
pobierz z Google Play
Nowy! Pobierz Unionpedia na urządzeniu z systemem Android™!
Pobieranie
Szybszy dostęp niż przeglądarce!
 

VHDL

Indeks VHDL

VHDL – język opisu sprzętu używany w komputerowym projektowaniu układów cyfrowych typu FPGA i ASIC.

27 kontakty: Accellera, AHDL, Bezpośrednio programowalna macierz bramek, General Instrument AY-3-8910, GNU Compiler Collection, Hardware Description Language, Jan Ogrodzki, Komitet Elektroniki i Telekomunikacji Polskiej Akademii Nauk, LEON, Mikroprocesor programowy, Modulo, Notepad++, Obliczenia równoległe, Operator bitowy, Operator logiczny, Operator relacji, PLD (elektronika), PSL (język opisu właściwości), Qucs, SHA-2, SHA-3, Skróty używane w informatyce, SPARC, Synteza logiczna, System on chip, SystemC, Verilog.

Accellera

Accellera – organizacja, grupująca firmy zajmujące się automatyzacjąprocesu projektowania układów i systemów elektronicznych (EDA – Electronic Design Automation).

Nowy!!: VHDL i Accellera · Zobacz więcej »

AHDL

AHDL (ang. Altera Hardware Description Language) jest językiem opisu sprzętu (HDL) przeznaczonym do programowania układów FPGA i CPLD firmy Altera.

Nowy!!: VHDL i AHDL · Zobacz więcej »

Bezpośrednio programowalna macierz bramek

Układ programowalny Altera Stratix II GX FPGA Układ programowalny Altera Stratix IV GX FPGA Układ programowalny Spartan firmy Xilinx Bezpośrednio programowalna macierz bramek (od ang. field-programmable gate array, FPGA) – rodzaj programowalnego układu logicznego.

Nowy!!: VHDL i Bezpośrednio programowalna macierz bramek · Zobacz więcej »

General Instrument AY-3-8910

DIP 28-pin Układ scalony AY-3-8910 w obudowie DIP 40 GI AY-3-8910A na płycie komputera MSX2 Daewoo CPC300E GI AY-3-8912 na płycie komputera Oric-1 obudowie DIP Wyprowadzenia układu AY-3-8910 General Instrument AY-3-8910 – 3-kanałowy programowalny generator dźwięku zaprojektowany przez firmę General Instrument, początkowo do współpracy z ich 16-bitowym procesorem CP1610 lub z jednym serii 8-bitowych mikrokomputerów PIC1650.

Nowy!!: VHDL i General Instrument AY-3-8910 · Zobacz więcej »

GNU Compiler Collection

GNU Compiler Collection (GCC) – zestaw kompilatorów o otwartym kodzie źródłowym rozwijany w ramach Projektu GNU.

Nowy!!: VHDL i GNU Compiler Collection · Zobacz więcej »

Hardware Description Language

Hardware Description Language, HDL (z ang. „język opisu sprzętu”) – rodzina języków komputerowych wykorzystywanych do opisu układów cyfrowych w technice cyfrowej.

Nowy!!: VHDL i Hardware Description Language · Zobacz więcej »

Jan Ogrodzki

Jan Tomasz Ogrodzki (ur. 5 maja 1954 w Warszawie) – polski elektronik, doktor habilitowany nauk technicznych oraz diakon rzymskokatolicki.

Nowy!!: VHDL i Jan Ogrodzki · Zobacz więcej »

Komitet Elektroniki i Telekomunikacji Polskiej Akademii Nauk

Komitet Elektroniki i Telekomunikacji Polskiej Akademii Nauk (KEiT PAN) – jeden z komitetów naukowych Polskiej Akademii Nauk, działający w ramach Wydziału Nauk Technicznych PAN.

Nowy!!: VHDL i Komitet Elektroniki i Telekomunikacji Polskiej Akademii Nauk · Zobacz więcej »

LEON

LEON – mikroprocesor programowy napisany w języku VHDL.

Nowy!!: VHDL i LEON · Zobacz więcej »

Mikroprocesor programowy

Mikroprocesor programowy (zwany też procesorem programowym) jest procesorem w całości wykonanym na układzie FPGA przy użyciu syntezy logicznej, zwykle z języka VHDL lub Verilog.

Nowy!!: VHDL i Mikroprocesor programowy · Zobacz więcej »

Modulo

ModuloEtym.

Nowy!!: VHDL i Modulo · Zobacz więcej »

Notepad++

Notepad++ – wolny i otwartoźródłowy rozbudowany edytor tekstu (oraz zamiennik Notatnika) przeznaczony na systemy operacyjne z rodziny Microsoft Windows, oparty na projekcie Scintilla i rozpowszechniany na licencji GNU GPL.

Nowy!!: VHDL i Notepad++ · Zobacz więcej »

Obliczenia równoległe

Galera – komputer równoległy złożony z ponad 1000 procesorów. Obliczenia równoległe – forma wykonywania obliczeń, w której wiele instrukcji jest wykonywanych jednocześnie.

Nowy!!: VHDL i Obliczenia równoległe · Zobacz więcej »

Operator bitowy

Operator bitowy w programowaniu – operator dostępny w określonym języku programowania (a także w innych językach komputerowych), który, działając na podanych argumentach, realizuje podstawowe operacje algebry Boole’a na pojedynczych bitach lub każdej parze odpowiadających sobie bitów pobieranych z tych samych pozycji dwóch argumentów operatora oraz operacje przesunięć lub obrotów bitowych.

Nowy!!: VHDL i Operator bitowy · Zobacz więcej »

Operator logiczny

Operator logiczny w programowaniu – operator dostępny w określonym języku programowania (a także w innych językach komputerowych), który działając na argumentach reprezentujących wartości logiczne, w wyniku zwraca również wartość logiczną, realizując podstawowe operacje algebry Boole’a.

Nowy!!: VHDL i Operator logiczny · Zobacz więcej »

Operator relacji

Operator relacji w programowaniu – operator dostępny w określonym języku programowania (a także w innych językach komputerowych), który działając na podanych argumentach, w wyniku zwraca wartość logiczną, określającąspełnienie bądź nie spełnienie reprezentowanej przez ten operator relacji zachodzącej między zapodanymi argumentami.

Nowy!!: VHDL i Operator relacji · Zobacz więcej »

PLD (elektronika)

PLD (ang. programmable logic device) – układ elektroniczny o programowalnej strukturze.

Nowy!!: VHDL i PLD (elektronika) · Zobacz więcej »

PSL (język opisu właściwości)

PSL (ang. Property Specification Language) jest językiem opisu właściwości układów i systemów elektronicznych, kontrolowanym przez standard IEEE Std.

Nowy!!: VHDL i PSL (język opisu właściwości) · Zobacz więcej »

Qucs

Qucs (z ang. Quite Universal Circuit Simulator) – darmowe oprogramowanie do symulacji układów elektronicznych wydane na licencji GPL.

Nowy!!: VHDL i Qucs · Zobacz więcej »

SHA-2

SHA-2 – zestaw kryptograficznych funkcji skrótu (SHA-224, SHA-256, SHA-384, SHA-512) zaprojektowany przez National Security Agency (NSA) i opublikowany w 2001 roku przez National Institute of Standards and Technology (NIST) jako Federalny standard przetwarzania informacji rządu Stanów Zjednoczonych.

Nowy!!: VHDL i SHA-2 · Zobacz więcej »

SHA-3

SHA-3 (Secure Hash Algorithm 3) – kryptograficzna funkcja skrótu wyłoniona w 2012 roku w ramach konkursu ogłoszonego przez amerykański NIST.

Nowy!!: VHDL i SHA-3 · Zobacz więcej »

Skróty używane w informatyce

Oto lista skrótów używanych jako nazwy w informatyce, które znajdująsię (niebieskie), lub jeszcze się nie znalazły (czerwone) w polskojęzycznej Wikipedii.

Nowy!!: VHDL i Skróty używane w informatyce · Zobacz więcej »

SPARC

Mikroprocesor Sun UltraSPARC II SPARC (ang. Scalable Processor ARChitecture) – architektura mikroprocesorów RISC zaprojektowana początkowo przez firmę Sun Microsystems, a od roku 1989 rozwijana przez organizację SPARC International.

Nowy!!: VHDL i SPARC · Zobacz więcej »

Synteza logiczna

Synteza logiczna polega na znalezieniu takiej konfiguracji zasobów sprzętowych (bramek logicznych), przerzutników, komórek czy też makrokomórek, która realizować będzie założony układ cyfrowy (opisany zazwyczaj za pomocąjęzyka opisu sprzętu (HDL) lub sieci połączeń).

Nowy!!: VHDL i Synteza logiczna · Zobacz więcej »

System on chip

Schemat blokowy SoC opartego o procesor ARM System na czipie (SoC), w skrócie czip – mianem tym określa się układ scalony zawierający kompletny system elektroniczny, w tym układy cyfrowe, analogowe (także radiowe) oraz cyfrowo-analogowe.

Nowy!!: VHDL i System on chip · Zobacz więcej »

SystemC

SystemC jest językiem opisu systemów cyfrowych.

Nowy!!: VHDL i SystemC · Zobacz więcej »

Verilog

Verilog – język opisu sprzętu używany do projektowania oraz symulacji elektronicznych układów cyfrowych, zwłaszcza typu ASIC i FPGA.

Nowy!!: VHDL i Verilog · Zobacz więcej »

Przekierowuje tutaj:

Programowanie:VHDL, Vhdl.

TowarzyskiPrzybywający
Hej! Jesteśmy na Facebooku teraz! »